叶瑶瑶

叶瑶瑶 / 副教授、硕导

研究方向:集成电路设计自动化

电子邮箱:yeyaoyao@sjtu.edu.cn
办公电话:021-34201041
办公地点:微电子大楼418

 

 

  叶瑶瑶,现任上海交通大学微纳电子学系副教授,硕士生导师。于2013年11月获得香港科技大学电子与计算机工程博士学位,于2008年本科毕业于中国科学技术大学。博士毕业后加入华为香农IT实验室任研究工程师。于2015年加入上海交通大学从事教学与科研工作,并于2016年入选上海市扬帆计划。

  她的主要研究方向是集成电路设计自动化,包括异构多核处理器架构,片上网络设计和优化,AI芯片架构等。在IEEE TCAD,IEEE TC,IEEE TVLSI,IEEE TPDS等国际期刊上和国际学术会议上发表论文50多篇。担任ASP-DAC 2021,PSC 2021,ASP-DAC 2020,PSC 2020,ASP-DAC 2019,ASP-DAC 2017,ASP-DAC 2016,GLSVLSI 2017,PHOTONICS 2019,OPTICS 2018,OPTICS 2017等国际学术会议的TPC委员。

  主持国家自然科学基金面上项目1项;主持国家自然科学基金青年科学基金1项;承担科技部重点研发计划子任务1项;主持上海市扬帆计划。参与的研究项目主要包括国家自然科学基金国际合作项目1项;国家自然科学基金面上项目1项;以及其它科研项目多项。

 

研究方向:

  1. 集成电路设计自动化
  2. 异构多核处理器架构
  3. 片上网络设计和优化
  4. AI芯片架构

国际学术会议TPC委员:

  1. ASP-DAC 2021, ASP-DAC 2020, ASP-DAC 2019, ASP-DAC 2017, ASP-DAC 2016
  2. PSC 2021, 2020
  3. GLSVLSI 2017
  4. PHOTONICS 2019 (in conjunction with SC 2019)
  5. OPTICS 2018, OPTICS 2017

主持/参与的研究项目:

  1. 主持国家自然科学基金面上项目,片上光网络架构设计和可靠性协同优化,2021/01/01-2024/12/31.
  2. 主持国家自然科学基金青年科学基金,片上光网络的温度敏感性分析和功耗优化,2017/01/01-2019/12/31.
  3. 承担科技部重点研发计划子任务1项,2020/01/01~2023/12/31
  4. 主持上海市扬帆计划,面向众核处理器系统的高性能片上光互连网络架构设计及热感知功耗优化,2016/06/01-2019/05/31.
  5. 参与国家自然科学基金国际(地区)合作与交流项目,面向多维复用超大容量片上光互连的高效硅基光开关及阵列研究,2018/01/01-2019/12/31.
  6. 参与国家自然科学基金面上项目,暗硅时代新型热可靠众核系统的性能与能耗优化关键技术研究,2018/01/01-2021/12/31.

期刊论文发表:

  1. Jing Wang and Yaoyao Ye*, “Ant Colony Optimization-Based Thermal-Aware Adaptive Routing Mechanism for Optical NoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), October 2020. (CCF-A类)

  2. Wenfei Zhang, Yaoyao Ye*, “A Table-Free Approximate Q-Learning Based ThermalAware Adaptive Routing for Optical NoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), April 2020. (CCF-A类)

  3. Renjie Yao, Yaoyao Ye*, “Towards a High-Performance and Low-Loss Clos-Benes Based Optical Network-on-Chip Architecture”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), January 2020. (CCF-A类)

  4. Yaoyao Ye*, Wenfei Zhang, Weichen Liu, “Thermal-Aware Design and Simulation Approach for Optical NoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), August 2019. (CCF-A类)

  5. Yaoyao Ye*, Zhe Zhang, “A Thermal-Sensitive Design of a 3D Torus-Based Optical NoC Architecture”, Integration, the VLSI journal, 2019.

  6. Zhe Zhang, Yaoyao Ye*, “A Learning-Based Thermal-Sensitive Power Optimization Approach for Optical NoC”, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 14, no 2, May 2018.

  7. Mengquan Li, Weichen Liu*, Nan Guan, Yiyuan Xie, Yaoyao Ye, “Hardware-Software Collaborative Thermal Sensing in Optical Network-on-Chip Based Manycore Systems”, ACM Transactions on Embedded Computing Systems, Article 118, November 2019.

  8. Hengyang Zhao, Qi Hua, Hai-Bao Chen, Yaoyao Ye, Hai Wang, Sheldon X.-D. Tan, and Esteban Tlelo-Cuautle. “Thermal-Sensor-Based Occupancy Detection for Smart Buildings Using Machine-Learning Methods”, ACM Transactions on Design Automation of Electronic Systems, 23, 4, Article 54, June 2018.

  9. Xiaowen Wu, Jiang Xu, Yaoyao Ye, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Zhe Wang, An Inter/Intra-chip Optical Network for Manycore Processors”, IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.4, pp.678-691, April 2015.

  10. Xuan Wang, Jiang Xu, Weichen Liu, Wei Zhang, Xiaowen Wu, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, ZheWang, “Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC”, IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.2, pp.266-279, Feb. 2015.

  11. Mahdi Nikdast, Jiang Xu, Luan H.K. Duong, Xiaowen Wu, Xuan Wang, Zhehui Wang, Zhe Wang, Peng Yang, Yaoyao Ye, Qinfen Hao, “Crosstalk Noise in WDM-based Optical Networks-on-Chip: a Formal Study and Comparison”, IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.11, pp.2552- 2565, November 2015.

  12. Yaoyao Ye, Zhehui Wang, Peng Yang, Jiang Xu, Xiaowen Wu, Xuan Wang, Mahdi Nikdast, Zhe Wang, Luan Huu Kinh Duong, “System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 33, no. 11, pp. 1718-1731, November 2014. (CCF-A类)

  13. Yaoyao Ye, Jiang Xu, Baihan Huang, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, and Zhe Wang, “3D Mesh-based Optical Network-on-Chip for Multiprocessor System-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 32, no.4, pp. 584-596, April 2013. (CCF-A类)

  14. Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, and Weichen Liu, “System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip”, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 21, no. 2, pp. 292-305, February 2013.

  15. Yaoyao Ye, Jiang Xu, XiaowenWu, Wei Zhang, Weichen Liu, and Mahdi Nikdast, “A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip”, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 8, no 1, February 2012.

  16. Xiaowen Wu, Jiang Xu, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, Xuan Wang, “SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor”, ACM Journal on Emerging Technologies in Computing Systems, vol. 10 no. 4, May 2014.

  17. Zhehui Wang, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Wei Zhang, Mahdi Nikdast, Xuan Wang, Zhe Wang, “Floorplan Optimization of Fat-Tree Based Networkson- Chip for Chip Multiprocessors”, IEEE Transactions on Computers, vol. 63, no. 6, pp. 1446-1459, June 2014. (CCF-A类)

  18. Weichen Liu, Xuan Wang, Jiang Xu, Wei Zhang, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, Zhehui Wang, “On-Chip Sensor Networks for Soft-Error Tolerant Real-Time Multiprocessor Systems-on-Chip”, ACM Journal on Emerging Technologies in Computing Systems, vol. 10, no. 2, pp. 15:1-15:20, February 2014.

  19. Mahdi Nikdast, Jiang Xu, XiaowenWu, Wei Zhang, Yaoyao Ye, XuanWang, Zhehui Wang, Zhe Wang, “Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 3, pp. 437-450, March 2014. (CCF-A类)

  20. Xiaowen Wu, Yaoyao Ye, Jiang Xu, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, “UNION: A Unified Inter/Intra-Chip Optical Network for Chip Multiprocessors”, IEEE Transactions on Very Large Scale Integration Systems, vol. 99, pp. 1-14, June 2013.

  21. Yiyuan Xie, Mahdi Nikdast, Jiang Xu, XiaowenWu,Wei Zhang, Yaoyao Ye, Xuan Wang, Zhehui Wang, Weichen Liu, “Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip”, IEEE Transactions on Very Large Scale Integration Systems, vol. 21, no. 10, pp. 1823-1836, October 2013.

  22. Kai Feng, Yaoyao Ye, Jiang Xu, “A Formal Study on Topology and Floorplan Characteristics of Mesh and Torus-based Optical Networks-on-Chip”, Microprocessors and Microsystems, June 2012.

  23. Weichen Liu, Zonghua Gu, Jiang Xu, Xiaowen Wu, Yaoyao Ye, “Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems”, IEEE Transactions on Parallel and Distributed Systems, vol. 22, no. 8, August 2011.

  24. Weichen Liu, Jiang Xu, Jogesh Muppala, Wei Zhang, Xiaowen Wu, Yaoyao Ye, “Coroutine-based Synthesis of Efficient Embedded Software from SystemC Models”, IEEE Embedded Systems Letters, vol.3, no.1, March 2011.

国际会议论文发表:

  1. Wenfei Zhang, Yaoyao Ye*, “An Approximate Thermal-Aware Q-Routing for Optical NoCs”, PHOTONICS 2019, in conjunction with International Conference for High Performance Computing, Networking, Storage, and Analysis (SC 2019), Denver, USA, November 18th, 2019.

  2. Renjie Yao, Yaoyao Ye*, Weichen Liu, “Design of a Hierarchical Clos-Benes Optical Network-on-Chip Architecture”, IEEE Computer Society Annual Symposium on VLSI, Miami, Florida, U.S.A., July 15-17, 2019.

  3. Yaoyao Ye, Taeyoung Kim, Haibao Chen, Hai Wang, Esteban Tlelo-Cuautle and Sheldon X.-D. Tan, “Comprehensive Detection of Counterfeit ICs Via On-Chip Sensor and Post-Fabrication Authentication Policy”, International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), June 2017, Italy.

  4. Kang Yao, Yaoyao Ye*, Jiang Xu and Sudeep Pasricha, “Thermal-Sensitive Design and Power Optimization for a 3D Torus-Based Optical NoC”, International Conference On Computer Aided Design (ICCAD), November 13-16, 2017, Irvine Marriott, Irvine, CA.

  5. Zhe Zhang, Yaoyao Ye, Weichen Liu, “A Learning-Based Thermal-Sensitive Routing for Power Optimization of Optical NoCs”, 12th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), October 4-5, 2018, Torino, Italy. (Poster)

  6. Wenyang Liu, Weichen Liu, Mengquan Li, Peng Chen, Lei Yang, Chunhua Xiao, Yaoyao Ye, “Fine-Grained Task-Level Parallel and Low Power H.264 Decoding in Multi-Core Systems”, IEEE 24th International Conference on Parallel and Distributed Systems (ICPADS), Singapore, 2018, pp. 307-314.

  7. Yanting Huang, Weichen Liu, Mengquan Li, Peng Chen, Lei Yang, Chunhua Xiao, Yaoyao Ye, “User Experience-Enhanced and Energy-Efficient Task Scheduling on Heterogeneous Multi-Core Mobile Systems”, IEEE 24th International Conference on Parallel and Distributed Systems (ICPADS), Singapore, 2018, pp. 283-290.

  8. Mengquan Li, Weichen Liu, Lei Yang, Yiyuan Xie, Yaoyao Ye, Nan Guan, “Work-in-Progress: Communication Optimization for Thermal Reliable Optical Network-on-Chip”, 2018 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Turin, 2018, pp. 1-2.

  9. Yaoyao Ye, Xiaowen Wu, Jiang Xu, Mahdi Nikdast, Zhehui Wang, Xuan Wang, and ZheWang, “System-Level Analysis of Mesh-based Hybrid Optical-Electronic Network-on-Chip”, the 2013 IEEE International Symposium on Circuits and Systems, Beijing, China, May 2013. (Invited)

  10. Yaoyao Ye, Xiaowen Wu, Jiang Xu, Wei Zhang, Mahdi Nikdast, Xuan Wang, Zhehui Wang, and Zhe Wang, “Holistic Comparison of Optical Routers for Chip Multiprocessors”, IEEE International Conference on Anti-Counterfeiting, Security and Identification, Taipei, Taiwan, August 2012. (Invited)

  11. Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, Zhehui Wang, and Zhe Wang, “Thermal Analysis for 3D Optical Network-on-Chip Based on a Novel Low-Cost 6×6 Optical Router”, IEEE Optical Interconnects Conference, Santa Fe, New Mexico, USA, May 2012.

  12. Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, “Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip”, IEEE Computer Society Annual Symposium on VLSI, Chennai, India, July 2011.

  13. Yaoyao Ye, Lian Duan, Jiang Xu, Jin Ouyang, Kwai Hung Mo, Yuan Xie, “3D Optical NoC for MPSoC”, IEEE International 3D System Integration Conference, San Francisco, USA, September 2009.

  14. Qi Hua, Haibao Chen, Yaoyao Ye and Sheldon X.-D. Tan, “Occupancy Detection in Smart Buildings Using Support Vector Regression Method”, 2016 8th International Conference on Intelligent Human-Machine Systems and Cybernetics (IHMSC), Hangzhou, 2016, pp. 77-80.

  15. Weichen Liu, Zonghua Gu, Yaoyao Ye, “Efficient SAT-based application mapping and scheduling on multiprocessor systems for throughput maximization”, in Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2015 International Conference on , vol., no., pp.127-136, 4-9 Oct. 2015.

  16. Jie Dai, Weichen Liu, Xiaohao Lin, Yaoyao Ye, Chunming Xiao, Kaijie Wu, Qingfeng Zhuge, Sha, E.H.M., “User Experience Enhanced Task Scheduling and Processor Frequency Scaling for Energy-Sensitive Mobile Devices”, in High Performance Computing and Communications (HPCC), 2015 IEEE 7th International Symposium on Cyberspace Safety and Security (CSS), 2015 IEEE 12th International Conference on Embedded Software and Systems (ICESS), 2015 IEEE 17th International Conference on , vol., no., pp.941-944, 24-26 Aug. 2015.

  17. Zhehui Wang, Jiang Xu, Peng Yang, Xuan Wang, Zhe Wang, Luan H.K. Duong, Zhifei Wang, Haoran Li, Rafael K.V. Maeda, Xiaowen Wu, Yaoyao Ye, Qinfen Hao, “Alleviate Chip I/O Pin Constraints for Multicore Processors through Optical Interconnects”, Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo, Japan, January 2015.

  18. Mahdi Nikdast, Luan H. K. Duong, Jiang Xu, Sebastien Le Beux, Xiaowen Wu, Zhehui Wang, Peng Yang, Yaoyao Ye, “CLAP: A Crosstalk and Loss Analysis Platform for Optical Interconnects”, IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Italy, September 2014.

  19. XuanWang, Jiang Xu,Wei Zhang, XiaowenWu, Yaoyao Ye, ZhehuiWang, Mahdi Nikdast, Zhe Wang, “Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories”, Design, Automation and Test in Europe Conference and Exhibition (DATE), 2013, Grenoble, France, 2013, pp. 1221-1224.

  20. Xiaowen Wu, Yaoyao Ye, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, Jiang Xu, “A Unified Inter/Intra-chip Optical Interconnect Network”, IEEE/ACM International Symposium on Nanoscale Architectures, 2010. (Invited)

  21. Kwai Hung Mo, Yaoyao Ye, Xiaowen Wu, Wei Zhang, Weichen Liu, Jiang Xu, “A Hierarchical Hybrid Optical-Electronic Network-on-Chip”, IEEE Computer Society Annual Symposium on VLSI, Lixouri Kefalonia, Greece, July 2010.

  22. ZhehuiWang, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, Zhe Wang, “A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip”, IEEE Optical Interconnects Conference, Santa Fe, New Mexico, May 2012.

  23. Weichen Liu, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Wei Zhang, Mahdi Nikdast, Zhehui Wang, “A NoC Traffic Suite Based on Real Applications”, IEEE Computer Society Annual Symposium on VLSI, July 2011.

  24. Weichen Liu, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Wei Zhang, Mahdi Nikdast, Zhehui Wang, “MCSL: A Realistic Traffic Benchmark Suite for Network-on-Chip Studies”, Design Automation Conference (DAC), June 2011. (Poster)

  25. Mahdi Nikdast, Jiang Xu, XiaowenWu, Yaoyao Ye, Weichen Liu, XuanWang, “A Formal Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip for Chip Multiprocessors”, AMD Technical Forum and Exhibition, Taipei, Taiwan, October 2010. (Best Poster Award)

  26. Weichen Liu, Xuan Wang, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Mahdi Nikdast, “A Case Study of On-Chip Sensor Networks for Soft-Error Tolerant Multiprocessor Systems-on-Chip”, AMD Technical Forum and Exhibition, Taipei, Taiwan, October 2010. (Invited Poster)

  27. Weichen Liu, Jiang Xu, Xuan Wang, Yu Wang, Wei Zhang, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, Zhehui Wang, “A Hardware-Software Collaborated Method for Soft-Error Tolerant MPSoC”, IEEE Computer Society Annual Symposium on VLSI, July 2011.

  28. Weichen Liu, Jiang Xu, Xuan Wang, Yu Wang, Wei Zhang, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, Zhehui Wang, “A Low-Overhead Hardware-Software Collaborated Approach for Soft-Error Tolerance”, Design Automation Conference (DAC), June 2011. (Poster)

  29. Yiyuan Xie, Mahdi Nikdast, Jiang Xu, Wei Zhang, Qi Li, Xiaowen Wu, Yaoyao Ye, Weichen Liu, Xuan Wang, “Crosstalk Noise and Bit Error Rate Analysis for Optical Network-on-Chip”, Design Automation Conference (DAC), Anaheim, California, USA, June 2010.

 

 

 

 

 

《信号与系统(A类)》,4学分,64学时

《程序设计思想与方法》,3学分,48学时

 

微纳电子学系